企业商机
MIPI测试基本参数
  • 品牌
  • 克劳德
  • 型号
  • MIPI测试
MIPI测试企业商机

MIPI还是一个正在发展的规范,其未来的改进方向包括采用更高速的嵌入式时钟的M-PHY作为物理层、CSI/DSI向更高版本发展、完善基带和射频芯片间的DigRFV4接口、定义高速存储接口UFS(主要是JEDEC组织)等。当然,MIPI能否成功,还取决于市场的选择。

当前,终端市场要求新设计具有更低功耗、更高数据传输率和更小的PCB占位空间,在这种巨大压力之下,一些智能化且具有更高性能价格比的替代方案开始逐渐为相关设计人员所采用。现在使用的几种基于标准的串行差分接口当中,MIPI接口在功率敏感同时又要求高性能的移动手持式设备领域中的增长极为迅速。而基带和显示器/相机模块对MIPI显示器串行接口(DisplaySerialInterface,DSI)和相机串行接口(CameraSerialInterface,CSI-2)协议的采纳,正是这种增长的主要推动力。DSI和CSI-2是分别针对显示器和相机要求的逻辑层(logical-level)协议,它们通过物理互连对主机与外设之间的数据进行管理、差错和通信。MIPID-PHY规定了连接处理器和外设的物理层的物理及电气特性,这些MIPI接口为服务移动设备市场而专门设计。 MIPI-DSI接口以MIPI D-PHY协议定义的物理传输层为基础;吉林MIPI测试产品介绍

吉林MIPI测试产品介绍,MIPI测试

数据通路[D0:D3]的D0通路是双向通路,用于总线周转(BTA)功能。在主发射机要求外设响应时,它会在传输的数据包时向其PHY发出一个请求,告诉PHY层在传输结束(EoT)后确认总线周转(BTA)命令。其余通路和时钟都是单向的,数据在不同通路中被剥离。例如,个字节将在D0上传送,然后第二个字节将在D1上传送,依此类推,第五个字节将在D0上传送。根据设计要求,数据通路结构可以从一路扩充到四路。图3是1时钟3路系统上的数据剥离图。每条通路有一个的传输开始(SoT)和传输结束(EoP),SoT在所有通路之间同步。但是,某些通路可能会在其他通路之前先完成HS传输(EoT)。吉林MIPI测试产品介绍MIPI 速率和帧率的关系;

吉林MIPI测试产品介绍,MIPI测试

数据通道0具有高速数据接收,以及低功耗下的Escape模式,数据通道1具有高速数据接收和功耗模式,在闲置状态时,通道都处于LP-II状态。当主机向从机发送高速接收请求序列LP-II->LPOI->LPOO,从机通过检测LP-II->LPOI和LPOI->LPOO的变化,使能差分放大电路的中的终端电阻控制信号,打开高速接收,从机开始准备接收主机高速发送过来的数据。当主机向从机发送Escape模式进入序列LP-II->LP-IO>LPOO>LPOI->LPOO时,从机开始检测序列,在正确接收到的LPOO状态后即进入Escape模式,然后等待主机发送Entrycommands。再进行相应的操作,退出Escape模式的序列是LP-IO>LP-II。

MIPI D-PHY的接收端容限测试

除了对于D-PHY设备的发送的信号质量有要求以外,MIPI协会还规定了对于接收端的容限要求,D-PHY的CTS规定的接收端的测试项目主要包含以下几个部分。

(1)LP信号电平和时序的判决容限(GROUP1:LP-RXVOLTAGEANDTIMINGREQUIREMENTS):其中包含了被测件对于LP信号高电平、低电平的判决阈值和容限对于脉冲宽度的判决容限测试等。(TestIDs:2.1.1,2.1.22.1.3,2.1.4,2.1.5.2.1.6,2.1.7,2.1.8)

(2)LP状态下的指令时序判决容限(GROUP2:LP-RXBEHAVIORALREQUIREMENTS):其中包含了被测件在LP状态下对于初始化、唤醒、Escape模式切换指令时序的判决容限测试等。(TestIDs:2.2.1,2.2.2,2.2.3,2.2.4,2.2.5,2.2.6,2.2.7,2.2.8) MIPI CSI/DSI接口从物理层到协议层的整体测试方案;

吉林MIPI测试产品介绍,MIPI测试

一般来说,比较器的失调电压主要是由于输入管不完全对称引起的。当比较器存在输入失调时,流经DPAIR2模块中输人对管的电流会不一致,从而造成流入NLOAD2模块的电流大小也不一致。此时通过改变控制字,使itrimm电流与iconst电流大小不同,在NLOAD2模块中通过电流镜补偿输入对管引起的电流差异,使得vpp和vpn端口剩下的电流一致,从而实现offset补偿。校准时,将比较器差分输入端连接到地,通过对五位控制字从00000到11111扫描,再从11111到00000扫描,观察比较器的输出,从而得到合适的控制字,实现offset校准。经仿真表明,该电路可实现+/-30mV的失调电压校准。MIPI接口传视频速率;吉林MIPI测试产品介绍

MIPI CSI接口调试方法;吉林MIPI测试产品介绍

MIPI-DSI接口IP设计与仿真

MIPI-DSI接口IP设计模拟部分采用定制方法,数字部分采用Veriloa语言描述,程序设计采用层次化设计方法,根据图2所示是MIPI-DSI接口总体功能电路设计框图,编写系统spec和模块spec,设定各个功能模块的互连接目,每个模块的数据流外理都采用有限状态机进行描述。MIPLDSI在上由初始化时外干闲苦状态,总线都处于LP-II状态,当检测到主机发送序列时,从机接收序列,并判断开始进入哪种工作模式,主要有高速接收、Escape模式和反向传输(Turnaround)模式。

设计的顶层模块,为顶层模块搭建测试平台的初始化环境,根据MIPI协议描述的DSI接口的各个功能,编写测试激励testcase,通过建立虚拟主机发送端,建立虚拟显示驱动接收端,搭建起系统的验证平台,仿真结果 吉林MIPI测试产品介绍

与MIPI测试相关的文章
浙江MIPI测试执行标准 2024-06-03

为了适应两种不同的运行模式,接收机端的端接必须是动态的。在HS模式下,接收机端必须以差分方式端接100Ω;在LP模式下,接收机开路(未端接)。HS模式下的上升时间与LP模式下是不同的。 接收机端动态端接加大了D-PHY信号测试的复杂度,这给探测带来极大挑战。探头必须能够在HS信号和LP信号之间无缝切换,而不会给DUT带来负载。必须在HS进入模式下测量大多数全局定时参数,其需要作为时钟测试、数据测试和时钟到数据测试来执行。还要在示波器的不同通道上同时采集Clock+(Cp)、Clock-(Cn)、Data+(Dp)、Data-(Dn)。 MIPI测试接口引脚定义;浙江MIPI测试执行标...

与MIPI测试相关的问题
信息来源于互联网 本站不为信息真实性负责